电子信息工程基于VHDL的汽车尾灯控制器报告.doc
《电子信息工程基于VHDL的汽车尾灯控制器报告.doc》由会员分享,可在线阅读,更多相关《电子信息工程基于VHDL的汽车尾灯控制器报告.doc(6页珍藏版)》请在沃文网上搜索。
1、目录一、设计要求-二、设计方案-三、设计程序-四、管脚分配-五、硬件下载实现现象描述-六、体会与收获- 一、 设计要求假设汽车尾部左右两侧各有3盏指示灯,其控制功能应包括:(1) 汽车正常行驶时指示灯都不亮。(2) 汽车右转弯时,右侧的一盏指示灯亮。(3) 汽车左转弯时,左侧的一盏指示灯亮。(4) 汽车刹车时,左右两侧的一盏指示灯同时亮。汽车在夜间行驶时,左右两侧的一盏指示灯同时一直亮,供照明使用二、设计方案 从功能上来分析,设置三个按键开关。一个用来控制汽车右转弯时,右侧的一盏指示灯亮。一个用来控制汽车左转弯时,左侧的一盏指示灯亮区。一个用来控制汽车刹车时,左右两侧的一盏指示灯同时亮。再设置
2、一个拨码开关来控制汽车在夜间行驶时,左右两侧的一盏指示灯同时一直亮,供照明使用。汽车尾部的指示灯用彩灯来显示。三、设计程序-库-library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;-实体-entity catcounter isport(a,b,c,d,clk:in std_logic; m:out std_logic_vector(2 downto 0); n:out std_logic_vector(3 downto 0);end;-结构体
- 1.请仔细阅读文档,确保文档完整性,对于不预览、不比对内容而直接下载带来的问题本站不予受理。
- 2.下载的文档,不会出现我们的网址水印。
- 3、该文档所得收入(下载+内容+预览)归上传者、原创作者;如果您是本文档原作者,请点此认领!既往收益都归您。
下载文档到电脑,查找使用更方便
10 积分
下载 | 加入VIP,下载更划算! |
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- 电子信息工程 基于 VHDL 汽车 尾灯 控制器 报告