四位二进制减法计数器.doc
《四位二进制减法计数器.doc》由会员分享,可在线阅读,更多相关《四位二进制减法计数器.doc(18页珍藏版)》请在沃文网上搜索。
1、 摘要Quartus II 是Altera公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。 Multisim是Interactive Image Technologies (Electronics Workbench)公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。
2、Multisim为用户提供了丰富的元器件,并以开放的形式管理元器件,使得用户能够自己添加所需要的元器件。 本次数电课程设计使用Quartus II仿真环境以及VHDL下载和Multisim仿真环境来编译实现四位二进制同步减法计数器。在Multisim中选用四个JK触发器来实现四位二进制减法计数器。运用卡诺图求解时序方程。逻辑电路图中,四个小红灯即为显示器,从右到左显示时序图中的十二种状态,其中,灯亮表示“1”,灭表示“0”,从而达到计数目的。 关键字:VHDL硬件描述语言、四位二进制减计数器、QUARTUS、Multisim、 目录一.课程设计目的.1二.课设题目实现框图.1三.实现过程.1(
3、一)VHDL的编译和仿真.11.建立工程.1 2.VHDL源程序4 3.编译及仿真过程.6 4.引脚锁定及下载.9 5.仿真结果分析.11(二)电路设计.11 1求驱动方程.12 2.基于Multisim的设计电路图.15 3.逻辑分析仪显示的波形. 15 4.仿结果分析16四.设计体会 16五.参考文献.17 一、 课程设计目的1.了解同步减计数器的工作原理和逻辑功能;2. 学会用VHDL语言对计数器进行编译和仿真;3.掌握QuartusII的使用方法;4.掌握Multisim的使用方法。 二、课程设计实现框图状态图 1111 1110 1101 1100 1011 1010 0000 00
4、01 0010 0011 0111 1001 (缺少0100,0101,0110,1000)三、实现过程(一)VHDL的编译和仿真 1.建立工程创建一个工程,具体操作过程如下:(1)点击File New Project Wizard创建一个新工程,如图1; 图1(2)点击Next,为工程选择存储目录、工程名称、顶层实体名等,并点击Next,若目录不存在,系统可能提示创建新目录,如图2所示,点击“是”按钮创建新目录; 图2(3)系统提示是否需要加入文件,在此不添加任何文件,如图3; 图3(4)点击Next,进入设备选择对话框,如图4,这里选中实验箱的核心芯片CYCLONE系列FPGA产品EP1C
5、6Q240C8; 图4(5)点击Next,系统显示如图5,提示是否需要其他EDA工具,这里不选任何其他工具; 图5(6) 点击Next后,系统提示创建工程的各属性总结,若没有错误,点击Finish,工程创建向导将生成一个工程,在窗口左侧显示出设备型号和该工程的基本信息等。2.VHDL源程序library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity count10 isport(CP,r:in std_logic; q:out std_logic_vector(3 downto 0); end c
6、ount10;architecture behavioral of count10 is signal count:std_logic_vector(3 downto 0); begin process(cp,r) begin if r=0 then count=1111; elsif cpevent and cp=1 then if count=1001 then count=0111; else count=count-1; if count=0111 then count=0011; else count=count-1; if count=0000 then count=1111; e
- 1.请仔细阅读文档,确保文档完整性,对于不预览、不比对内容而直接下载带来的问题本站不予受理。
- 2.下载的文档,不会出现我们的网址水印。
- 3、该文档所得收入(下载+内容+预览)归上传者、原创作者;如果您是本文档原作者,请点此认领!既往收益都归您。
下载文档到电脑,查找使用更方便
20 积分
下载 | 加入VIP,下载更划算! |
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- 二进制 减法 计数器