基于CPLD数字钟设计.doc
《基于CPLD数字钟设计.doc》由会员分享,可在线阅读,更多相关《基于CPLD数字钟设计.doc(10页珍藏版)》请在沃文网上搜索。
1、目录l 设计要求l 设计思路l CPLD简介l 主要VHLD源程序l 波形仿真l 实物图l 心得体会一、 设计要求。 具有时、分、秒的计数显示功能,24小时循环显示。 具有清零、预置初值功能,实现LED动态显示,整点报时采用声音报时。 采用元件例化方式实现各模块间的连接。二、 设计思路. (原理图)三、 CPLD简介。 CPLD(Complex Programmable Logic Device)复杂可编程逻辑器件,是从PAL和GAL器件发展出来的器件,相对而言规模大,结构复杂,属于大规模集成电路范围。是一种用户根据各自需要而自行构造逻辑功能的数字集成电路。其基本设计方法是借助集成开发软件平台
2、,用原理图、硬件描述语言等方法,生成相应的目标文件,通过下载电缆(“在系统”编程)将代码传送到目标芯片中,实现设计的数字系统。 四、主要VHDL源程序。 24进制计数器。 library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;-*entity count24 Isport(en1,en2,clk,rst:in std_logic;co:out std_logic;a,b:out std_logic_vector(3 downto 0);end count24;-*architecture rtl of
3、count24 issignal aout,bout:std_logic_vector(3 downto 0);signal cout:std_logic;beginprocess(en1,en2,clk,rst)beginif(rst=0)thenaout=0000;aout=0000;cout=0;elsif(clkevent and clk=1)thenif(en1=1 or en2=0)thenif(bout=2)thenif(aout=3)thenaout=0000;bout=0000;cout=1;elseaout=aout+1;cout=0;end if;elsif(aout=9
4、)thenaout=0000;bout=bout+1;cout=0;elseaout=aout+1;cout=0;end if;end if;end if;end process;a=aout;b=bout;co=cout;end rtl;六十进制计数器。 library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;-*entity mincount Isport(en1,en2,clk,rst:in std_logic;co:out std_logic;a,b:out std_logic_vector(3
- 1.请仔细阅读文档,确保文档完整性,对于不预览、不比对内容而直接下载带来的问题本站不予受理。
- 2.下载的文档,不会出现我们的网址水印。
- 3、该文档所得收入(下载+内容+预览)归上传者、原创作者;如果您是本文档原作者,请点此认领!既往收益都归您。
下载文档到电脑,查找使用更方便
20 积分
下载 | 加入VIP,下载更划算! |
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- 基于 CPLD 数字 设计
